matthew180 Posted September 28, 2010 Share Posted September 28, 2010 I think most of you will enjoy this. I just got it done the other night and finally took some pictures, plus the last 3 hours writing it up. I call it the bsm256k (a pretty obvious and not terribly creative name) http://codehackcreate.com/archives/208 Matthew Quote Link to comment Share on other sites More sharing options...
jaybird3rd Posted September 28, 2010 Share Posted September 28, 2010 Congratulations! It's great to see the 99/4A getting larger and larger cartridges. I've been getting into the wonderful world of bankswitched cartridge boards myself, with a new 1MB bankswitched board that I designed for the Mattel Aquarius. It's interesting that you went with a "daughterboard" design that mounted onto one of the original cartridge boards; I thought of doing something similar for the Atari 7800 at one point, because it is a great way to economize (assuming you can find enough suitable boards). Unlike your board, I ended up going with "old school" technology on mine: EPROMs and TTL. It was my first attempt to design a cartridge board and I wanted to stick with something simple, and I found that TTL chips and EPROMs are still readily available (and very inexpensive) in the used/overstock market. For my next project, though, I'll probably use something a little more modern. Quote Link to comment Share on other sites More sharing options...
sometimes99er Posted September 28, 2010 Share Posted September 28, 2010 (edited) This is indeed totally cool news. I haven't been this excited in a long time. Very, very nice write-up. Love it. I guess we'll need an update to Tursi's Multicart program. With up to 31 selections we need some kind of new userinterface/frontend. Maybe something scrollable, highlighting, zoom, maybe 8x16 pixel font size, maybe some cool hybrid bitmap logo with colorcycling, maybe a short 3 channel fanfare or a nice funky looping drive. Some soundeffects for moving around and selecting. Edited September 28, 2010 by sometimes99er Quote Link to comment Share on other sites More sharing options...
+retroclouds Posted September 28, 2010 Share Posted September 28, 2010 I think most of you will enjoy this. I just got it done the other night and finally took some pictures, plus the last 3 hours writing it up. I call it the bsm256k (a pretty obvious and not terribly creative name) http://codehackcreate.com/archives/208 Matthew That is just amazing! Very impressive stuff. What an excellent TI-99/4A year this is The hardware development in the cartridge arena is moving at a very fast pace. Software wise it seems it's very hard to keep up. For myself I actually decided to stop the development of further games until I have spectra2 rolling. I more and more see it as mini operating system for games running from the cartridge space (and 256 bytes of scratchpad memory). I'm sure that once I have it finished I'll be able to shorten the development cycle a lot. Quote Link to comment Share on other sites More sharing options...
+retroclouds Posted September 28, 2010 Share Posted September 28, 2010 This is indeed totally cool news. I haven't been this excited in a long time. Very, very nice write-up. Love it. I guess we'll need an update to Tursi's Multicart program. With 31 selections we need some kind of new userinterface/frontend. Maybe something scrollable, highlighting, zoom, maybe 8x16 pixel font size, maybe some cool hybrid bitmap logo with colorcycling, maybe a short 3 channel fanfare or a nice funky looping drive. Some soundeffects for moving around and selecting. Exactly. It would be cool to have a menu system in the style of your "Sonic Interlude" demo. Quote Link to comment Share on other sites More sharing options...
sometimes99er Posted September 28, 2010 Share Posted September 28, 2010 It would be cool to have a menu system in the style of your "Sonic Interlude" demo. I'll make a note of that, since the effect has been noticed by others, even though it's super simple (was it 4 characters scrolling). I have a few notes here and there on color blending possibilities with the tms9918a. I think we could make a separate thread for the menu system alone. Have all sorts of requirements, ideas, mockups etc. Quote Link to comment Share on other sites More sharing options...
matthew180 Posted September 29, 2010 Author Share Posted September 29, 2010 I guess we'll need an update to Tursi's Multicart program. With up to 31 selections we need some kind of new userinterface/frontend. Maybe something scrollable, highlighting, zoom, maybe 8x16 pixel font size, maybe some cool hybrid bitmap logo with colorcycling, maybe a short 3 channel fanfare or a nice funky looping drive. Some soundeffects for moving around and selecting. Haha, sounds like a combination of all the entries in the animation contest used in one place. Glad you like it. Matthew Quote Link to comment Share on other sites More sharing options...
matthew180 Posted September 29, 2010 Author Share Posted September 29, 2010 For myself I actually decided to stop the development of further games until I have spectra2 rolling. Your Spectra lib is really cool, but we'll see about that halt in game development after the 1st. Matthew Quote Link to comment Share on other sites More sharing options...
matthew180 Posted September 29, 2010 Author Share Posted September 29, 2010 (edited) Thanks everyone for the comments and such, that's what makes stuff like this worth it. I did have a request for the code used in the GAL to replace the 379, so here it is. It is really not that complicated as far as VHDL goes, but then again I'm looking at it from my perspective, and to someone who has never done and HDL it is probably a mystery. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity memsel is port ( cp : in std_logic; clken : in std_logic; invert : in std_logic; d_in : in std_logic_vector(0 to 4); oe : in std_logic; q_out : out std_logic_vector(0 to 4) ); end; architecture behavorial of memsel is signal reg : std_logic_vector(0 to 4); begin process(cp) begin if rising_edge(cp) and clken = '0' then if invert = '0' then reg <= d_in; else reg <= not d_in; end if; end if; end process; q_out <= reg when oe = '0' else (others => 'Z'); end behavorial; Not really much to it, but ask questions if there is any confusion. Matthew Edited September 29, 2010 by matthew180 Quote Link to comment Share on other sites More sharing options...
+acadiel Posted September 29, 2010 Share Posted September 29, 2010 Wow again... Matthew, I am impressed! You and Tursi should work on the GROM emulation. We have that combo V6 board - the 512K ROM works with the 74LS379 (I have lots of those still around), banking it with 128K and two hard switches (the four data line limitation). The ATMega is what Tursi and Ksarul are going to use for GROM emulation. Of course, the V6 is a prototype, and it looks like you're on your way to making a V7 already! I can't wait!!! Awesome!!!! Keep up the GREAT work! Quote Link to comment Share on other sites More sharing options...
matthew180 Posted September 29, 2010 Author Share Posted September 29, 2010 Thanks Jon! I have bounced a lot of questions off of Tursi for sure, especially when I started my F18A project. I didn't realize many other people were into doing new hardware either. However, this Bank Switch Mini project just came up when I was taking the TI Invaders boards out of the shells to make room for the PITFALL! boards. Just seemed like a waste, and I can only imagine you are swimming in original TI boards left over from your batches of 64K boards! As for collaborating with people, I'd love to, but I think my approach to some of this is a lot different than what others want to do right now. General implementation ideas make for great conversation, and anyone wanting to do a PLD version of new hardware, just raise your hand and say "let's do it!" I can't wait for the Faire to be able to talk to everyone in a single place. As far as I know most of us working on new hardware will be there. Matthew Quote Link to comment Share on other sites More sharing options...
+acadiel Posted September 29, 2010 Share Posted September 29, 2010 As for collaborating with people, I'd love to, but I think my approach to some of this is a lot different than what others want to do right now. General implementation ideas make for great conversation, and anyone wanting to do a PLD version of new hardware, just raise your hand and say "let's do it!" I can't wait for the Faire to be able to talk to everyone in a single place. As far as I know most of us working on new hardware will be there. Matthew I'd like to eventually see the cart board to morph in a version of a super Gram Kracker... or have a new piece of hardware that would: 1) Be in a standard cart case 2) Support multiple GROM bases and up to at least 128K of bank switched ROM (inverted/noninverted switchable) per "cartridge" 3) Have a selectable built in menu, that'll read files from a pre-built index off of a micro SD card (you'd have a program on the PC/Mac that would take the ROM/GROM images, put them in a particular place in flash, and update the index) 4) Have some RAM (like the Super carts, MBX carts, etc.) Just for kicks and grins, I wonder how much PLD work would be needed to reconstruct the old Gram Kracker, PGram, or Gramulator. Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.