Jump to content
IGNORED

Building & Testing the Re-imaged Atari 1450XL


Dropcheck

Recommended Posts

PAL B fixed based upon PAL Dumps and documentation.

(Refresh line was wrong.)

 

These should work with the ATF16V8B.  Please try when you get a chance.

 

PALB.jed

PALB.PLD

 

Where the PLD file is the WinCUPL source.

 

 

Datasheet fuse map added:

 

u7fusemap.thumb.jpg.eccdf3447c37811a4d6a202f3f5a80cf.jpg

 

 

Edited by reifsnyderb
  • Like 2
Link to comment
Share on other sites

1 hour ago, reifsnyderb said:

PAL B fixed based upon PAL Dumps and documentation.

(Refresh line was wrong.)

 

These should work with the ATF16V8B.  Please try when you get a chance.

 

PALB.jed 1.03 kB · 1 download

PALB.PLD 2.07 kB · 1 download

 

Where the PLD file is the WinCUPL source.

 

 

Datasheet fuse map added:

 

u7fusemap.thumb.jpg.eccdf3447c37811a4d6a202f3f5a80cf.jpg

 

 

 

Will try it in the morning.  Thanks!

Link to comment
Share on other sites

9 minutes ago, Dropcheck said:

Say does anyone know what the L1 and L2 LED lights are for on the 1200XL keyboard?

They are controlled by PORTB as per:   https://atariwiki.org/wiki/Wiki.jsp?page=PORTB

 

On an unmodified Atari 1200XL with a stock OS, LED 1 is to indicate the keyboard is disabled (CTRL/F1 toggles).  LED 2 indicates the international character set is enabled.  (CTRL/F4 toggles)

Link to comment
Share on other sites

21 minutes ago, reifsnyderb said:

They are controlled by PORTB as per:   https://atariwiki.org/wiki/Wiki.jsp?page=PORTB

 

On an unmodified Atari 1200XL with a stock OS, LED 1 is to indicate the keyboard is disabled (CTRL/F1 toggles).  LED 2 indicates the international character set is enabled.  (CTRL/F4 toggles)

I don't know if they are routed that way on the 1450 board.  The schematics do not show them connected.  The later TONG did have them reconnected.

 

Link to comment
Share on other sites

55 minutes ago, kheller2 said:

I don't know if they are routed that way on the 1450 board.  The schematics do not show them connected.  The later TONG did have them reconnected.

 

Your right.  I don't see them connected on the 1400/1450XL schematics.  I may see if that can be added.  It'd be a shame if we don't have that option but use the 1200XL keyboard.

 

The keypresses should be encoded in the OS right?

Edited by Dropcheck
Link to comment
Share on other sites

37 minutes ago, Dropcheck said:

Your right.  I don't see them connected on the 1400/1450XL schematics.  I may see if that can be added.  It'd be a shame if we don't have that option but use the 1200XL keyboard.

 

The keypresses should be encoded in the OS right?

Yes.  Normal XL OS's already have the function keys encoded as Atari never removed them.

Link to comment
Share on other sites

Here's PAL C.  I do have a concern about the !HandlerCS output as it takes an internal input from the latches, but think the logic is correct.

 

I discovered the documentation and fuse map didn't agree on the use of the reset line.  The documentation showed OR logic while the fuse map shows AND logic.  I am following the fuse map as that's a from a dump of the chip.

 

Also, the PAL .jed file showed an output for pin 18.  If you need pin 18 added, let me know.  I omitted it as your schematic doesn't show it connected and the output isn't used in any other macrocell.

 

Note:  These files are for an ATF16V8B.

 

PALC.jed

 

WinCupl source file:

 

PALC.PLD

 

Edit to add:  I just checked the 1400 PAL chips and equations document.  The document specifies the output of pin 18 to be the result of DS0 AND DS1 while the PAL fuse map shows the output of pin 18 to be the result of DS0 OR DS1.

Edited by reifsnyderb
Link to comment
Share on other sites

Since you are making this a 1450 with added features and it's becoming something slightly different, as you mention removing RF and modem port (though I'd keep the modem port for possible features like LAN/FujiNet PBI slot et al.

Maybe you could fold some of the better TONG board elements in. I mean if it's there, why not.

Link to comment
Share on other sites

21 minutes ago, _The Doctor__ said:

Since you are making this a 1450 with added features and it's becoming something slightly different, as you mention removing RF and modem port (though I'd keep the modem port for possible features like LAN/FujiNet PBI slot et al.

Maybe you could fold some of the better TONG board elements in. I mean if it's there, why not.

This version is going to be a 1450XL, as close to the original as possible.  I am working a little now on wholly new version that will fit into a 1200XL like the native motherboard.  In that version I plan on removing the modem and RF modulator.  And yes I do plan on adding additional features.  Some modding of the back of the 1200XL case will be needed.   But at least there are some available cases to house the new version. 

 

But the TONG boards are massive and there are no cases available to house them.  The only major addition to its feature set are builtin parallel disk drives.  It's my understanding Atari was still having some issues with them when the project was cancelled.  The number of working 1450XLD boards is about the number of my fingers.  So at this point I don't plan on going there.   I don't want to say I won't ever, but right now it's a bridge too far. 

  • Like 3
Link to comment
Share on other sites

Just my two cents if I were doing a 1200 drop in: the least amount of 1200 case destruction as possible.  Widen the power jack for standard XL/XE power supplies, 50 pin header on the mobo so you could add a PBI device internal or with a slim cut, routed ribbon cable out the back seems.  Or mounted at a 90’ on the board so one could cut the plastic down from the seems and make a nicer cutout than having to mangle the lower vents to get a card edge out the back.  I’ve seen the horrible things someone did to a 1200XL case to make my 1450 board fit.  Not pretty. 
 

 

but first things first.  Finish the first ever 1450 board from scratch. 

  • Like 4
Link to comment
Share on other sites

On 9/27/2024 at 9:15 AM, Dropcheck said:

Okay time for an update of sorts:

 

Further SIO testing is on hold until I can find other SIO devices to test.  Disk drives appear to work, they tend to use only GND, DATA_IN, DATA_OUT and CMD lines.  So devices that need other signals may not work.

 

Cartridge port is good.  I have tried several other cartridges and they all come up, assuming that they are not reliant on XE features.

 

Keyboard issues remain.  I have another 1200XL keyboard I can use, but it's connecting cables are even shorter than the other one.  I have parts coming in today that I hope I can extend the length to do testing with. 

 

Sound is still working.  Will try the video mod that Vandal968 talked about towards the last of that long thread on the original 1450XL build thread.  Hopefully that will help the composite video out quality. 

 

Joystick testing is on hold too until the keyboard issue is resolved.

 

RF out is NOT working.  I have found two different RF cables and tried them.  I have replaced the RF modulator.  I am getting a signal change on screen, but it's only static.  It might still be my display device.  I'm looking to see if I have an older tv with an antenna input. 

 

PBI is still on hold until I can find a PBI device to test with.

 

I've been reluctant to install my Voltrax speech chip remake because it was THE most expensive single chip purchase and I didn't want to blow it, but as the keyboard and joystick issues are resolved I do plan to install it and test it.  Maybe even this weekend. 

 

@reifsnyderb paltoATF16V8B files do NOT appear to work.  I burned all three and installed them.  No boot.   I was hoping it was only the PAL A, so I pulled the ATF16V8 PAL A and replaced it with tf_hh's version.  Still no boot.  I am not versed enough in this type of conversion to say why.  I am contacting tf_hh to see if he is willing to release his files.  Understand I have not yet been able to test far enough to say that all three tf_hh pal2gal conversions are good and without error, but at least the 1450XL is booting with them. 

 

While I am waiting for parts, I am working on taking the schematic I do have and applying it to the 1200XL board format.  I will be eliminating the builtin modem and RF out circuitry for starters.  I am hoping that may give me enough room to add additional builtin features.  We'll see.  That process is still preliminary and will go in fits and starts.  Testing the 1450XL and verifying all is working will take precedence.

 

 

 

  

Some progress:

 

RF Out is working for channel 3, not 4.  Finally lugged my step father's estate LCD with an antenna input into the Atari room and hooked it up.  Was able to get a 'Ready' prompt display on it's channel 3.  No output on channel 4.  Something going on with the channel switch or the RF module.

RF is working now on both channel 2 and 3.

 

I have begun keyboard and joystick testing.  The keyboard appears to be working.  I am getting joystick response on J6(Joystick 1).  Joystick J5(Joystick 2) is not responding.  Will try different programs and carts to see if it is the program.  Have a set of paddles coming in some time next week, so I'll check that when they come in. 

 

I have found a couple of additional SIO devices.  I purchased Lotharek's Fujinet Prof box some time back and just haven't set it up yet.  Also have a partially completed SIO2MIDI S2 board from mytek.  Chips should arrive Monday and I'll get the pic chip programmed.  Both use additional SIO signals so it should test out the SIO better.

 

Still looking for a PBI device. 

 

Still working on getting valid PAL chip equations for both GAL and ATF16V8B chips.  A few more tests to do.

Edited by Dropcheck
Link to comment
Share on other sites

10 minutes ago, Dropcheck said:

Was able to get a 'Ready' prompt display on its channel 3.  No output on channel 4

Every vintage Atari RF system I’ve encountered here in the US has used channels 2 and 3, not 3 and 4. That includes Heavy Sixers VCS models, Light Sixers, 4-switch units, 5200 consoles, 7800 consoles and all my 400/800/XL/XE machines. If channel 3 is working, then channel 2 probably is as well, though sometimes the RF coils do get enough out of spec that modern LCD digital tuners have a hard time locking on. A tiny tweak with a plastic hex tool is usually enough to get them working again if you really want to pursue that aspect. 

Link to comment
Share on other sites

4 minutes ago, DrVenkman said:

Every vintage Atari RF system I’ve encountered here in the US has used channels 2 and 3, not 3 and 4. That includes Heavy Sixers VCS models, Light Sixers, 4-switch units, 5200 consoles, 7800 consoles and all my 400/800/XL/XE machines. If channel 3 is working, then channel 2 probably is as well, though sometimes the RF coils do get enough out of spec that modern LCD digital tuners have a hard time locking on. A tiny tweak with a plastic hex tool is usually enough to get them working again if you really want to pursue that aspect. 

Duh!!!  It works on both channel 2 and 3 now.

 

I never use the RF out, and so forgot what channels to use.  I knew it was at least channel 3.

  • Like 1
Link to comment
Share on other sites

On 9/27/2024 at 6:51 PM, kheller2 said:

Just my two cents if I were doing a 1200 drop in: the least amount of 1200 case destruction as possible.  Widen the power jack for standard XL/XE power supplies, 50 pin header on the mobo so you could add a PBI device internal or with a slim cut, routed ribbon cable out the back seems.  Or mounted at a 90’ on the board so one could cut the plastic down from the seems and make a nicer cutout than having to mangle the lower vents to get a card edge out the back.  I’ve seen the horrible things someone did to a 1200XL case to make my 1450 board fit.  Not pretty. 

🤔 Maybe something like this to replace the stock cartridge port with a right angle 50-pin PBI Edge Card connector, and then move the cartridge and PBI external. Not sure about the height, but judging by my 1200XL, it looks like the board might rest on the inside bottom of the cart tunnel, so no cutting holes in the case.

1200XL_PBI.thumb.png.543b4b2940c028cd2a975a8e61fc5fd2.png

 

Datasheets for Edge Card Connectors...

Mouser 395-050-559-201 50-pin EDAC Card-Edge Connector.pdf

Mouser 5530843-G1 30-pin Card-Edge Connector.pdf

 

I would stick with the 9 VAC input as-is, and just rectify it, then filter it through a 10,000 uF capacitor like on the original, but go with two 5VDC switching regulators after that (one for internal electronics, and the other for the PBI and Cart).

 

I used these in my CV-NUC+ project and it worked great, with absolutely no switching noise. Believe me when I say I tested a multitude of these style regulators including the expensive Traco Power ones, and this one left them all in the dust when it came to noise. They're only rated for 1 amp, but with two divided between internal and external needs it should be fine. If you replace the DRAM with SRAM and get rid of all the glue logic associated with that, the power requirements will drop substantially. Check out my 576NUC+ and NUCplus4 projects to see how that's done.

 

PSU2-5.png.d218ba766ca488fabee051c7f64c3135.png

EzSBC PSU2-5 5V Three Pin Regulator

 

 

EDIT: I forgot to post this revised PBI assignment table showing the required Cart Selects, /CCTL, Power, and the added /D1XX signal.

EDIT2: These added signal assignments are just an example of what's needed for the Cart to work off of this bus, aside from the /D1XX which isn't really necessary. Actual assignment order can be changed so long as the signals are present, and they don't have to be passed through to the external PBI connection.

EDIT3: Eliminated /D1XX since that can be generated by the PBI device, and substituted /HALT which can not be - this signal should get passed thru to the external PBI. Also what's shown as latched R/W can just be R/W as issued by the CPU, same as spec'ed on the XE ECI. This is especially good, since going to SRAM would eliminate the 74LS375 latch and delay line as used for the DRAM circuit, which is where the latched R/W comes from. Switching to SRAM greatly simplifies the memory circuit, eliminating either a lot of discrete glue logic or a rare and expensive Freddie chip (or it's FPGA replacement).

PBI_redefined.thumb.png.98b2fd000e856defdd16f4733466cffb.png

 

BTW, just to be perfectly clear on my intentions. I have absolutely no interest in creating a board of this size, so all of this is pure suggestion and speculation on my part and nothing else. It was simply a fun exercise for the creative side of my brain.  Remember I'm the guy who loves to design small NUC sized systems. Nothing has changed in this regard :)

 

  • Like 4
Link to comment
Share on other sites

Small update:

 

FuijNet works.  Chips for the SIO2MIDI S2 come in tomorrow.  So hopefully Tuesday I'll be reasonably willing to say the SIO is working. 

 

@reifsnyderb has already posted potentially working ATMEL ATF16V8B versions of each of the three PALs.  As testing continues into the special features of the 1450XL we'll find out if any more modifications are needed.  Thank you @reifsnyderb

 

With @tf_hh's work on the initial GAL 16V8 versions this should give more flexibility on what chips to use for the Atari PALs.  Thank you @tf_hh.

 

Joystick and Paddles will come in sometime this week, so I should be able to confirm joystick functioning.

 

PBI is still an unknown.  Anyone have a full PBI device to test with that doesn't cost an arm and a leg?

 

The next steps appear to be the special functions of the 1450XL and the reason for the whole project anyway.  😃  I think I have the tools to check the modem features.  At least enough to know if it works.  I also have the Voltrax replacement chip to see if the speech works as well.  Hopefully by next weekend I'll be ready to start that last phase. 

 

 

  • Like 6
Link to comment
Share on other sites

I had an extra 1091XL laying around and the prototype OS Extender Card, with the bodge wire on the back.  I'll just have to make up the cable and will send this out to @Dropcheck tomorrow.  If everything is working correctly the most first thing to notice is the left margin will be at 0, in BASIC.  HIAS HSSIO will be working with a Happy Enhancement (or other drive upgrade), Fast Math F+ will be running, CNTRL/SHFT/Inverse will bring up WozMon, and a few other features.  Instruction manual is below, as well.

 

1090 Expansion Card Instruction Manual v04.pdf

 

1091wosextendercard.thumb.jpg.9b04f4aa20943a57a77e4cf987b09fb8.jpg

Edited by reifsnyderb
  • Like 4
Link to comment
Share on other sites

30 minutes ago, _The Doctor__ said:

Not sure if the OS swap would cut out some functions, like votrax talking at boot or self test etc

It doesn't swap out the OS.  It makes some setting changes, swaps the math pack if a card isn't enabled, changes out the SIOV interrupt to point to the card, etc.  I don't think there will be a problem unless the device id is set to 1 or 7. 

 

Edit to add:  Depending upon the numbering scheme, it could be Device ID 2 or 8.  Atari used both 0 based numbering and 1 based numbering in their documentation.

 

Edited by reifsnyderb
  • Like 1
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

Loading...
  • Recently Browsing   0 members

    • No registered users viewing this page.
×
×
  • Create New...